인텔® Quartus® Prime Standard Edition

사양

사양 내보내기

주요 정보

  • 제품 컬렉션 인텔® FPGA 하드웨어 개발 도구
  • 상태 Launched
  • 출시일 2015
  • 최신 버전 23.1
  • 최신 릴리스 날짜 December, 2023
  • 라이센싱 Single ordering part number will give you both Standard edition and Pro edition
  • 포함된 항목 Intel® Quartus® Prime Standard Edition, Questa*-Intel® FPGA Edition, Intel® High Level Synthesis Compiler
  • 지원되는 장치 Intel® Arria® 10, Intel® Cyclone® 10 LP, Stratix® V, Arria® V, Cyclone® V, Stratix® IV, Cyclone® IV, Arria® II, MAX® devices
  • 지원되는 운영 체제 Windows* 10,Windows Server* 2012 Enterprise,Windows Server* 2016 Enterprise,Windows Server* 2019 Enterprise,Red Hat* Enterprise Linux* 7,Red Hat* Enterprise Linux* 8,CentOS* 7.5 ,CentOS* 8.0,SUSE* SLE 12,SUSE* SLE 15,Ubuntu* 16.04 LTS,Ubuntu* 18.04 LTS,Ubuntu* 20 LTS

보조 정보

  • 설명 The Intel® Quartus® Prime Standard Edition Software includes extensive support for earlier device families in addition to the Intel® Cyclone® 10 LP device family.
  • 제품 개요 지금 보기
  • 추가 정보 URL Download

드라이버 및 소프트웨어

최신 드라이버 및 소프트웨어

다운로드 가능:
모두

이름

출시일

제품이 처음 도입된 날짜.